Chuyển động ICT

Intel công bố đột phá mới trong công nghệ sản xuất chip thế hệ mới

AD 15:52 07/08/2024

Tiến trình 18A của Intel đã được triển khai và hoạt động một cách trơn tru, chuẩn bị bước vào giai đoạn sản xuất chip thế hệ mới cho máy tính cá nhân và máy chủ vào năm 2025.

Mới đây, Intel chính thức công bố hai sản phẩm chủ lực dựa trên tiến trình Intel 18A, bao gồm Panther Lake (bộ vi xử lý cho AI PC) và Clearwater Forest (bộ vi xử lý máy chủ), đã được xuất xưởng và thành công trong việc khởi động các hệ điều hành. Những cột mốc này được Intel hoàn thành chỉ trong vòng chưa đầy 2 quý từ khi hoàn thành quy trình thiết kế cuối (tape-out).

intel-18a-wafer-1.jpg
intel-18a-wafer-3.jpg

Nhờ vậy, cả hai sản phẩm mới sẽ bắt đầu đi vào sản xuất hàng loạt theo đúng lộ trình vào năm 2025. Intel cũng công bố sản phẩm gia công của khách hàng đầu tiên được dự kiến sẽ hoàn thành quy trình thiết kế cuối trên tiến trình Intel 18A trong nửa đầu năm sau.

Ông Kevin O’Buckley, Phó Chủ tịch cấp cao và Tổng Giám đốc Intel Foundry Services, cho biết: “Chúng tôi đang tiên phong trong nhiều công nghệ gia công hệ thống trong kỷ nguyên AI và cung cấp một bộ giải pháp sáng tạo toàn diện, thiết yếu cho thế hệ sản phẩm tiếp theo của Intel và khách hàng sử dụng dịch vụ xưởng đúc. Chúng tôi vô cùng phấn khởi với những bước tiến đạt được và cam kết hợp tác chặt chẽ với các khách hàng để thương mại hóa tiến trình Intel 18A vào năm 2025”.

Intel đã phát hành 18A Process Design Kit (Bộ công cụ thiết kế chip trên tiến trình Intel 18A, viết tắt PDK) 1.0. Các công cụ này hỗ trợ khách hàng tận dụng toàn bộ tính năng của kiến trúc RibbonFET gate-all-around (thiết kế với toàn bộ gate hay cổng bọc toàn bộ xung quanh kênh dẫn) và công nghệ cấp điện mặt sau PowerVia trong các thiết kế chip dựa trên tiến trình Intel 18A. Các đối tác thiết kế điện tử tự động và sở hữu trí tuệ đang cập nhật sản phẩm để hỗ trợ khách hàng bắt tay vào khâu thiết kế sản xuất cuối.

intel.png

Vai trò then chốt và cách thức hoạt động

Những cột mốc này cho thấy Intel Foundry là đơn vị đầu tiên triển khai thành công đồng thời cả kiến trúc RibbonFET gate-all-around và công nghệ cung cấp điện mặt sau PowerVia đến khách hàng sử dụng dịch vụ gia công.

Thông qua các công cụ và quy trình công nghệ từ hệ sinh thái EDA và IP, RibbonFET và PowerVia là những đổi mới đột phá mà Intel Foundry cung cấp cho tất cả khách hàng thông qua tiến trình Intel 18A. Kết hợp năng lực sản xuất và chuỗi cung ứng thích nghi cao, bền vững hơn và đáng tin cậy, cùng công nghệ đóng gói tiên tiến hàng đầu trong ngành, Intel Foundry cam kết cung cấp tất cả các thành phần cần thiết để thiết kế và sản xuất các giải pháp AI thế hệ mới với khả năng mở rộng và hoạt động hiệu quả hơn.

Việc khởi động các hệ điều hành trơn tru mà không cần cấu hình hay chỉnh sửa bổ sung, Panther Lake và Clearwater Forest là minh chứng rõ ràng cho sức mạnh của tiến trình tiên tiến Intel 18A, một công nghệ được kỳ vọng sẽ đưa Intel trở lại vị thế dẫn đầu vào năm 2025.

Các dấu hiệu tích cực khác bao gồm hiệu suất bộ nhớ DDR của Panther Lake đã đạt được tần số đề ra. Trong khi Clearwater Forest, kiến trúc của các CPU và chip AI trong tương lai, sẽ đánh dấu giải pháp hiệu năng cao đầu tiên được sản xuất hàng loạt, kết hợp hàng loạt các công nghệ như RibbonFET, PowerVia và Foveros Direct 3D để đạt mật độ và khả năng xử lý điện năng cao hơn.

Clearwater Forest cũng là sản phẩm chủ lực cho công nghệ Intel 3-T base-die. Tận dụng cách tiếp cận gia công hệ thống của Intel Foundry, cả hai sản phẩm dự kiến sẽ mang lại những cải tiến ấn tượng về hiệu suất trên mỗi watt điện, mật độ bóng bán dẫn và tỷ lệ sử dụng ô (cell).

Hai công nghệ cốt lõi của Intel 18A giúp nâng mật độ bóng bán dẫn và hiệu quả sử dụng điện năng của bộ vi xử lý. Đây là những yếu tố quan trọng để thúc đẩy sự phát triển của điện toán AI.

RibbonFET giúp kiểm soát chặt chẽ dòng điện trong các kênh dẫn của bóng bán dẫn. Nhờ vậy, Intel có thể thu nhỏ hơn nữa các linh kiện chip, đồng thời giảm rủi ro rò rỉ điện năng. Đây là điều tối quan trọng khi mật độ bóng bán dẫn ngày càng dày đặc hơn.

Trong khi đó, PowerVia tối ưu định tuyến tín hiệu bằng cách tách phần cấp điện khỏi mặt trước của tấm wafer, qua đó giảm điện điện trở và cải thiện khả năng sử dụng năng lượng. Kết hợp cùng nhau, các công nghệ này tạo nên một cặp bài trùng mạnh mẽ giúp cải thiện đáng kể hiệu năng và thời lượng sử dụng trên các thiết bị điện tử trong tương lai./.

Bài liên quan
Nổi bật Tạp chí Thông tin & Truyền thông
Đừng bỏ lỡ
Intel công bố đột phá mới trong công nghệ sản xuất chip thế hệ mới
POWERED BY ONECMS - A PRODUCT OF NEKO